VHDL

VHDL буюу VHSIC Hardware Description Language нь тоон хэлхээн дизайныг FPGA болон ASIC-д оруулах техник дүрслэлийн хэл юм.

Top-down буюу дээрээс доош чиглэсэн дизайны арга зүйд системийг абстракт түвшинд дүрслэхдээ техник дүрслэлийн хэл, түүний симуляци, синтез гүйцэтгэгч программ хангамжуудыг өргөн хэрэглэдэг. VHDL ашиглаж байгаа үедээ хамгийн гол анхаарах зүйл бол тоон логикийн хэлхээ үүсгэж байгаа гэдгээ мартаж болохгүй. Өөрөөр хэлбэл дээд мөрний код биелүүлэгдсэний дараа доод мөрний код биелүүлэгдэхгүй гэдгийг уг 2 мөр зэрэг гүйцэтгэгдэх боломжтой гэдгийг санах нь чухал юм.

VHDL хэл дээр тоон хэлхээний дизайн, түүний дүрслэлийг хийхэд үндсэн хэлбэрээр гүйцэтгэж болно. Үүнд:

  • behaivoral
  • structural
  • dataflow

Жишээ кодууд[засварлах | кодоор засварлах]

-- (VHDL тайлбар)

-- IEEE-н сангаас хэрэглэх сангаа оруулах
library IEEE;
use IEEE.std_logic_1164.all;

-- 'entity'-г оруулах
entity name_of_entity is
   port ( 
         IN1 : in std_logic;
         IN2 : in std_logic;
         OUT1: out std_logic);
end entity name_of_entity;

-- архитектураа энд тодорхойлно
architecture name_of_architecture of name_of_entity is

-- дотоод сигнал эсвэл ашиглагдах бүрэлдэхүүн функц-үүдийг энд зааж өгнө.

begin

  OUT1 <= IN1 and IN2;

end architecture name_of_architecture;

Stub icon

Энэ өгүүлэл дутуу дулимаг бичигджээ. Нэмж гүйцээж өгөхийг хүсье.